विषयसूची:

मोशन सेंसर एलईडी: 8 कदम
मोशन सेंसर एलईडी: 8 कदम

वीडियो: मोशन सेंसर एलईडी: 8 कदम

वीडियो: मोशन सेंसर एलईडी: 8 कदम
वीडियो: Motion Sensor LED Light Unboxing Reviews |Automatic sensor light for Bathroom and staircase 2024, जुलाई
Anonim
Image
Image

पृष्ठभूमि:

क्या आप कभी कक्षा या काम पर जाने से पहले या बिस्तर पर जाने से पहले लाइट बंद करना भूल जाते हैं? रोशनी के साथ वे घंटे जब आप उनका उपयोग नहीं कर रहे हैं, वास्तव में लागत और ऊर्जा हानि में जोड़ सकते हैं। उदाहरण के लिए, Solarcity.com के अनुसार, एक सप्ताह के लिए पूरी रात रोशनी छोड़ने से आपके बिजली बिल में $25 जुड़ सकते हैं! हमारे CPE133 प्रोजेक्ट के लिए, हम लोगों को उनके घरों में ऊर्जा बचाने और उनकी रोशनी का कुशलतापूर्वक उपयोग करने में मदद करने के लिए एक मोशन सेंसर लाइट डिज़ाइन करते हैं।

व्यवहार में हमारी प्रणाली:

अभ्यास में, रोशनी तभी चालू होती है जब कोई सेंसर कमरे में गति का पता लगाता है। फिर लाइटें एक निश्चित समय के लिए चालू रहेंगी, जैसे कि लगभग ३० मिनट, और फिर अपने आप बंद हो जाती हैं। लेकिन मान लीजिए कि आप बस वहां से गुजर रहे थे या निर्धारित समय समाप्त होने से पहले कमरे से जल्दी निकलना चाहते थे। उन मामलों के लिए, हमने एक बटन स्थापित किया है जहां आप मैन्युअल रूप से रोशनी चालू या बंद कर सकते हैं। ध्यान दें कि रोशनी मैन्युअल रूप से या स्वचालित रूप से चालू होने पर भी रोशनी 30 मिनट तक रहेगी (जब तक कि रोशनी मैन्युअल रूप से बंद न हो)।

बोर्ड पर सिमुलेशन:

यह देखने के लिए कि टाइमर काम करता है, हमने टाइमर को 1 मिनट में बदल दिया।

सामग्री:

  • 1 बेसिस बोर्ड (आप डिजिलेंट से यहां एक पा सकते हैं)
  • 1 पीर मोशन सेंसर (आप यहां अमेज़न पर पा सकते हैं)
  • 1 ब्रेडबोर्ड और किट (हम इसे अमेज़ॅन से उपयोग करने का सुझाव देते हैं)
  • उपरोक्त किट से

    • 1 एलईडी
    • 3 महिला से पुरुष जम्पर केबल
    • 6 पुरुष से पुरुष जम्पर केबल

चरण 1: टाइमर

LED को 1 मिनट तक चालू रखने के लिए, हमें पहले एक टाइमर बनाना होगा। बेसिस 3 बोर्ड में 100 मेगाहर्ट्ज की आंतरिक आवृत्ति होती है जिससे 100 मिलियन चक्र 1 सेकंड के बराबर हो जाते हैं। इसके बाद इसे एक चर के रूप में उपयोग किया जाता है जो "t_cnt" के लिए अधिकतम संख्या के रूप में कार्य करेगा। t_cnt 1 से बढ़ जाता है क्योंकि बेसिस 3 बोर्ड एक चक्र पूरा करता है। एक बार जब यह 100 मिलियन अंक तक पहुंच जाता है तो यह रीसेट हो जाएगा और दूसरा वेरिएबल, "सेकंड", 1 से बढ़ जाएगा। यह "सेकंड" वेरिएबल सेकंड्स की संख्या का प्रतिनिधित्व करता है और एक बार जब वेरिएबल 60 के बराबर हो जाता है, तो एक पूरा मिनट बीत चुका होता है।

नीचे दिए गए कोड को टाइमर नामक वीएचडीएल स्रोत फ़ाइल में कॉपी करें।

इकाई COUNT_8B है

पोर्ट (रीसेट: std_logic में;

सीएलके: std_logic में; टी: बाहर std_logic: = '0');

COUNT_8B समाप्त करें;

आर्किटेक्चर my_count of COUNT_8B is

निरंतर max_count: पूर्णांक:= (१००००००००); --सिग्नल t_cnt: std_logic_vector(7 डाउनटू 0):= "00000000"; संकेत t_cnt: पूर्णांक: = (0); प्रक्रिया शुरू करें (CLK, RESET, t_cnt) चर सेकंड: पूर्णांक: = 0; शुरू करें अगर (राइजिंग_एज (सीएलके)) तो अगर (रीसेट = '1') तो t_cnt <= (0); - एल्सिफ़ साफ़ करें (t_cnt = max_count) तो -- max_count 100 मिलियन है जो 1 सेकंड t_cnt <= (0) के बराबर है; - आंतरिक घड़ी को 0 सेकंड पर रीसेट करता है: = सेकंड + 1; -- हमारी 'धीमी घड़ी' को 1 से बढ़ा देता है अगर (सेकंड = 60) तो -- एक बार जब यह 60 सेकंड तक पहुंच जाता है तो यह अधिकतम समय सेकंड तक पहुंच जाता है: = 0; -- "धीमी घड़ी" को 0 T <= '1' पर रीसेट करता है; अगर अंत; और t_cnt <= t_cnt + 1; - आंतरिक घड़ी T <= '0' बढ़ाता है; अगर अंत; अगर अंत; प्रक्रिया समाप्त; my_count समाप्त करें;

चरण 2: बटन अनुकूलन

एलईडी
एलईडी

चूंकि बेसिस बोर्ड में आवृत्ति इतनी अधिक है (लगभग 100 मेगाहर्ट्ज) जब आप बेसिस बोर्ड के लिए कम समय के बारे में सोचते हैं तो आप इसे 100, 000 बार दबा रहे होंगे। यह प्रकाश को चालू और बंद स्थिति के बीच तेजी से झिलमिलाहट का कारण बनता है। हमने झिलमिलाहट को कम करने के लिए एक राज्य आरेख बनाकर बटन को अनुकूलित करने का प्रयास किया।

डी-फ्लिप-फ्लॉप प्रत्येक राज्य को धारण करेगा और फिर हम प्रक्रिया विवरण में राज्य संक्रमण निर्दिष्ट करेंगे।

नीचे दिए गए कोड को एक vhdl स्रोत फ़ाइल में कॉपी करें जिसका नाम Button.

पुस्तकालय IEEE; IEEE. STD_LOGIC_1164. ALL का उपयोग करें;

इकाई बटन है

पोर्ट (बीटीएन: एसटीडी_LOGIC में; क्लर्क: एसटीडी_LOGIC में; ई: एसटीडी_LOGIC से बाहर); अंत बटन;

आर्किटेक्चर बटन का व्यवहार है

टाइप करें State_type is (PRESSED, NP); सिग्नल पीएस, एनएस: स्टेट_टाइप: = एनपी;

शुरू

seq_proc: प्रक्रिया (एनएस, क्लर्क) शुरू होती है अगर (बढ़ती_एज (सीएलके)) तो पीएस <= एनएस; अगर अंत; अंतिम प्रक्रिया seq_proc;

ns_proc: प्रक्रिया (बीटीएन, पीएस)

केस शुरू करें PS तब होता है जब NP => if (btn = '1') तब NS <= PRESSED; ई <= '1'; अन्य एनएस <= एनपी; ई अगर (बीटीएन = '0') तो एनएस <= एनपी; ई <= '0'; अन्य एनएस <= दबाया गया; ई <= '0'; अगर अंत; अंतिम मामला; अंतिम प्रक्रिया ns_proc;

अंत व्यवहार;

चरण 3: एलईडी

LED की दो अवस्थाएँ होती हैं: OFF (या IDLE) और ON। जैसा कि पहले कहा गया है, राज्यों को डी-फ्लिप-फ्लॉप में संग्रहीत किया जाता है। यदि सेंसर गति (S=1) का पता लगाता है या जब कोई बटन दबाया जाता है (E=1) तो प्रकाश चालू हो जाएगा। यदि टाइमर 1 मिनट (T=1) तक पहुंच जाता है या बटन दबाने पर मैन्युअल रूप से (E=1) एलईडी स्वचालित रूप से बंद हो जाएगी।

नीचे दिए गए कोड को LED नाम की एक vhdl स्रोत फ़ाइल में कॉपी करें।

एंटिटी मोशन_सेंसर_लाइट पोर्ट है (एस: एसटीडी_LOGIC में; - सेंसर; पोर्ट JA10 / पिन G3 E: STD_LOGIC में; - मैनुअल फ़ंक्शन के लिए बाहरी बटन; केंद्र बटन T: STD_LOGIC में; - जब टाइमर अधिकतम समय तक पहुंचता है; टाइमर एलईडी से: STD_LOGIC से बाहर; - प्रकाश TRST: STD_LOGIC से बाहर; - टाइमर को रीसेट करता है: STD_LOGIC में); - फ्लिप फ्लॉप के लिए क्लर्क जो राज्यों को गति_सेंसर_लाइट समाप्त करता है;

मोशन_सेंसर_लाइट का आर्किटेक्चर बिहेवियरल है

टाइप करें State_type है (ST0, ST1); --ST0 = IDLE, ST1 = LED हाई

सिग्नल पीएस, एनएस: State_type:=ST0; --वर्तमान राज्य और अगला राज्य, ST0 IDLE में शुरू होता है

शुरू

-- फ्लिप फ्लॉप का प्रोसेस ब्लॉक -- क्लॉक के राइजिंग एज पर अपडेट स्टेट्स seq_proc: प्रोसेस (NS, clk) start -- d फ्लिप फ्लॉप जो स्टेट्स रखता है अगर (राइजिंग_एज (clk)) तो PS <= NS; अगर अंत; अंतिम प्रक्रिया seq_proc;

ns_proc: प्रक्रिया (एस, ई, टी, पीएस)

केस शुरू करें PS तब होता है जब ST0 => LED <= '0'; -- निष्क्रिय अवस्था TRST के लिए आउटपुट <= '1'; अगर (S = '0' या E = '1') तो -- st0 से st1 NS <= ST1 में संक्रमण के लिए इनपुट; अन्य एनएस एलईडी <= '1'; -- राज्य पर TRST <= '0' के लिए आउटपुट; अगर (ई = '1' या टी = '1') तो - st1 से st0 NS <= ST0 में संक्रमण के लिए इनपुट; अन्य एनएस <= एसटी1; अगर अंत; अंतिम मामला; अंतिम प्रक्रिया ns_proc;

अंत व्यवहार;

चरण 4: शीर्ष फ़ाइल

अब हम अपनी सभी अन्य फाइलों को एक में पोर्ट करने जा रहे हैं।

नीचे दिए गए कोड को एक vhdl स्रोत फ़ाइल में कॉपी करें जिसका नाम Top_File है।

पुस्तकालय IEEE; IEEE. STD_LOGIC_1164. ALL का उपयोग करें;

इकाई Top_File is

पोर्ट (एस: एसटीडी_LOGIC में: = '1'; - सेंसर; पोर्ट JA10/पिन G3 btn: STD_LOGIC में: = '0'; - मैनुअल फ़ंक्शन के लिए बाहरी बटन; केंद्र बटन एलईडी: STD_LOGIC से बाहर; - लाइट clk: एसटीडी_LOGIC में); -- फ्लिप फ्लॉप के लिए clk जो कि टॉप_फाइल के अंत वाले राज्यों को होल्ड करता है;

Top_File का आर्किटेक्चर बिहेवियरल है

घटक COUNT_8B है

पोर्ट (रीसेट: std_logic में: = '0'; CLK: std_logic में; T: आउट std_logic: = '0'); अंत घटक; घटक गति_सेंसर_लाइट पोर्ट है (एस: एसटीडी_LOGIC में; - सेंसर; पोर्ट JA10 / पिन G3 E: STD_LOGIC में; - मैनुअल फ़ंक्शन के लिए बाहरी बटन; केंद्र बटन T: STD_LOGIC में; - जब टाइमर अधिकतम समय तक पहुंचता है; टाइमर एलईडी से: STD_LOGIC से बाहर; - प्रकाश TRST: STD_LOGIC से बाहर; - टाइमर को रीसेट करता है: STD_LOGIC में); - फ्लिप फ्लॉप के लिए clk जिसमें स्टेट्स एंड कंपोनेंट होता है; घटक बटन पोर्ट है (बीटीएन: एसटीडी_LOGIC में; क्लर्क: एसटीडी_LOGIC में; ई: एसटीडी_LOGIC से बाहर); अंत घटक; संकेत t_reached_c: std_logic; -- संकेत r_time_c: std_logic; - सिग्नल बटन_सी: std_logic;

शुरू

टाइमर: COUNT_8B पोर्ट मैप (रीसेट => r_time_c, CLK => CLK, T => t_reached_c); मोशन_सेंसर: मोशन_सेंसर_लाइट पोर्ट मैप (S => S, E => बटन_c, T => t_reached_c, LED => LED, TRST => r_time_c, clk => clk); बटन_कंट्रोलर: बटन पोर्ट मैप (btn => btn, clk => clk, E => button_c); अंत व्यवहार;

चरण 5: बाधाएं फ़ाइल

अब हमें यह परिभाषित करना होगा कि बोर्ड पर हमारे इनपुट और आउटपुट कहां होंगे।

नीचे दिए गए कोड को एक vhdl बाधा फ़ाइल में कॉपी करें जिसका नाम Constraints.

## यह फ़ाइल बेसिस3 रेव बी बोर्ड के लिए एक सामान्य.xdc है## इसे किसी प्रोजेक्ट में उपयोग करने के लिए: ## - प्रयुक्त पिनों के अनुरूप लाइनों को अनकम्मेंट करें ## - उपयोग किए गए बंदरगाहों का नाम बदलें (प्रत्येक पंक्ति में, get_ports के बाद) परियोजना में शीर्ष स्तर के सिग्नल नामों के लिए

## घड़ी का संकेत

set_property PACKAGE_PIN W5 [get_ports clk] set_property IOSTANDARD LVCMOS33 [get_ports clk] create_clock -add -name sys_clk_pin -अवधि 10.00 -तरंग {0 5} [get_ports clk] [# स्विच #set_property PACKAGE_PIN V17 [get_ports set_property IOSTANDARD LVCMOS33 [get_ports {sw[0]}] #set_property PACKAGE_PIN V16 [get_ports {sw[1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw[1]}] #set_property PACKAGE_PIN W16 [get_ports] #set_property IOSTANDARD LVCMOS33 [get_ports {sw[2]}] #set_property PACKAGE_PIN W17 [get_ports {sw[3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw[3]}] #set_property PACKAGE_PIN W15 [get_PIN W15 प्राप्त करें]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw[4]}] #set_property PACKAGE_PIN V15 [get_ports {sw[5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw[5]}] #set_PIN W14 [get_ports {sw[5]}] #set_property पैकेज [6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw[6]}] #set_property PACKAGE_PIN W13 [get_ports {sw[7]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw[7]}] #set_property PACKAGE_PIN V2 [get_ports {sw[8]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw[8]}] #set_property PACKAGE_PIN T3 [get_ports {sw[9]}] #set_ports {sw[9]}] #set_property IOSTANDARD LVCMOS33 LVCMOS33 [get_ports {sw[9]}] #set_property PACKAGE_PIN T2 [get_ports {sw[10]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw[10]}] #set_property PACKAGE_PIN R3 [get_ports] {sw[11] set_property IOSTANDARD LVCMOS33 [get_ports {sw[11]}] #set_property PACKAGE_PIN W2 [get_ports {sw[12]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw[12]}] #set_property PACKAGE_PIN U1] #set_property IOSTANDARD LVCMOS33 [get_ports {sw[13]}] #set_property PACKAGE_PIN T1 [get_ports {sw[14]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw[15]}] #set_property PACKAGE_PACK]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw[15]}]

## एलईडी

#set_property PACKAGE_PIN U16 [get_ports {led[0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}] #set_property PACKAGE_PIN E19 [get_ports {led[1]}] #set_property IOSTANDARD LVCMOS33 [get_ports का नेतृत्व किया [get_ports] }] #set_property PACKAGE_PIN U19 [get_ports {led[2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}] #set_property PACKAGE_PIN V19 [get_ports {led[3]}] #set_property IOSTANDARD LVCMOS33 3]}] #set_property PACKAGE_PIN W18 [get_ports {led[4]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led[4]}] #set_property PACKAGE_PIN U15 [get_ports {led[5]}] #set_property IOSTANDARD LVCMOS LED[5]}] #set_property PACKAGE_PIN U14 [get_ports {led[6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led[6]}] #set_property PACKAGE_PIN V14 [get_ports {led[7]}] #set_property IOSTANDARD LVCMOS33 get_ports {led[7]}] #set_property PACKAGE_PIN V13 [get_ports {led[8]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led[8]}] #set_property PACKAGE_PIN V3 [get_ports {led[9]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led[9]}] #set_property PACKAGE_PIN W3 [get_ports {led[10]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led[10]}] #set_property पैकेज [11]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led[11]}] #set_property PACKAGE_PIN P3 [get_ports {led[12]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led[12]}] #set_property NACKAGE_PACK {एलईडी[13]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led[13]}] #set_property PACKAGE_PIN P1 [get_ports {led[14]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led[14]}] #set_property पैकेज [get_ports {led[15]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led[15]}] ##7 सेगमेंट डिस्प्ले #set_property PACKAGE_PIN W7 [get_ports {seg[0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {seg[0]}] #set_property PACKAGE_PIN W6 [get_ports {seg[1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {seg[1]}] #set_property PACKAGE_PIN U8 [get_ports {seg[2]}] #set_property IOSTA NDARD LVCMOS33 [get_ports {seg[2]}] #set_property PACKAGE_PIN V8 [get_ports {seg[3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {seg[3]}] #set_property PACKAGE_PIN U5 [get_ports {seg[get_ports}] #set_property IOSTANDARD LVCMOS33 [get_ports {seg[4]}] #set_property PACKAGE_PIN V5 [get_ports {seg[5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {seg[5]}] #set_property PACKAGE_PIN U7 }] #set_property IOSTANDARD LVCMOS33 [get_ports {seg[6]}]

#set_property PACKAGE_PIN V7 [get_ports dp]

#set_property IOSTANDARD LVCMOS33 [get_ports dp]

#set_property PACKAGE_PIN U2 [get_ports {an[0]}]

#set_property IOSTANDARD LVCMOS33 [get_ports {an[0]}] #set_property PACKAGE_PIN U4 [get_ports {an[1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {an[1]}] #set_property PACKAGE_PIN V4 [get_ports {an] }] #set_property IOSTANDARD LVCMOS33 [get_ports {an[2]}] #set_property PACKAGE_PIN W4 [get_ports {an[3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {an[3]}]

##बटन

set_property PACKAGE_PIN U18 [get_ports btn] set_property IOSTANDARD LVCMOS33 [get_ports btn] #set_property PACKAGE_PIN T18 [get_ports btnU] #set_property IOSTANDARD LVCMOS33 [get_ports btnU] #set_property PACKAGE_PIN W19 [get_ports btnL] #set_property IOSTANDARD LVCMOS33 [get_ports btnL] #set_property PACKAGE_PIN T17 [get_ports btnR] #set_property IOSTANDARD LVCMOS33 [get_ports btnR] #set_property PACKAGE_PIN U17 [get_ports btnD] #set_property IOSTANDARD LVCMOS33 [get_ports btnD]

##प्रमोद हैडर जेए

##Sch नाम = JA1 #set_property PACKAGE_PIN J1 [get_ports {JA[0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA[0]}] ##Sch नाम = JA2 #set_property PACKAGE_PIN L2 [get_ports {JA[1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA[1]}] ##Sch नाम = JA3 #set_property PACKAGE_PIN J2 [get_ports {JA[2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA[2]}] ##Sch नाम = JA4 #set_property PACKAGE_PIN G2 [get_ports {JA[3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA[3]}] ##Sch नाम = JA7 #set_property PACKAGE_PIN H1 [get_ports {JA[4]}] #set_ports {JA[4]}] #set_property IOSTANDARD LVCMOS33 LVCMOS33 [get_ports {JA[4]}] ##Sch name = JA8 set_property PACKAGE_PIN K2 [get_ports LED] set_property IOSTANDARD LVCMOS33 [get_ports LED] ##Sch नाम = JA9 #set_property PACKAGE_PIN H2 [get_ports] {get_ports set_property IOSTANDARD LVCMOS33 [get_ports {JA[6]}] ##Sch नाम = JA10 set_property PACKAGE_PIN G3 [get_ports S] set_property IOSTANDARD LVCMOS33 [get_ports S]

##प्रमोद हैडर जेबी

##Sch नाम = JB1 #set_property PACKAGE_PIN A14 [get_ports {JB[0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB[0]}] ##Sch नाम = JB2 #set_property PACKAGE_PIN A16 [get_ports {JB[1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB[1]}] ##Sch नाम = JB3 #set_property PACKAGE_PIN B15 [get_ports {JB[2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB[2]}] ##Sch नाम = JB4 #set_property PACKAGE_PIN B16 [get_ports {JB[3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB[3]}] ##Sch नाम = JB7 #set_property PACKAGE_PIN A15 [get_ports {JB[4]}] #set_ports {JB[4]}] #set_ports {JB[4]}] LVCMOS33 [get_ports {JB[4]}] ##Sch नाम = JB8 #set_property PACKAGE_PIN A17 [get_ports {JB[5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB[5]}] ##Sch नाम = JB9 #set_property PACKAGE_PIN C15 [get_ports {JB[6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB[6]}] ##Sch नाम = JB10 #set_property PACKAGE_PIN C16 [get_ports {JB[7]}] #set_property IOSTANDARD LVCMOS33 [get_ports {LVCM] जेबी [7]}]

##प्रमोद हैडर जेसी

##Sch नाम = JC1 #set_property PACKAGE_PIN K17 [get_ports {JC[0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC[0]}] ##Sch नाम = JC2 #set_property PACKAGE_PIN M18 [get_ports {JC[1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC[1]}] ##Sch नाम = JC3 #set_property PACKAGE_PIN N17 [get_ports {JC[2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC[2]}] ##Sch नाम = JC4 #set_property PACKAGE_PIN P18 [get_ports {JC[3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC[3]}] ##Sch नाम = JC7 #set_property PACKAGE_PIN L17 [get_ports {JC[4]}] #set_ports {JC[4]}] #set_property IOSTANDARD LVCMOS33 LVCMOS33 [get_ports {JC[4]}] ##Sch नाम = JC8 #set_property PACKAGE_PIN M19 [get_ports {JC[5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC[5]}] ##Sch नाम = JC9 #set_property PACKAGE_PIN P17 [get_ports {JC[6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC[6]}] ##Sch नाम = JC10 #set_property PACKAGE_PIN R18 [get_ports {JC[7]}] #set_property IOSTANDARD LVCMOS33 [get_ports {LVOS 33] जे.सी.[7]}]

##Pmod हैडर JXADC

##Sch नाम = XA1_P #set_property PACKAGE_PIN J3 [get_ports {JXADC[0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[0]}] ##Sch नाम = XA2_P #set_property PACKAGE_PIN L3 [get_property PACKAGE_PIN L3 [get_property PACKAGE_PIN L3] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[1]}] ##Sch नाम = XA3_P #set_property PACKAGE_PIN M2 [get_ports {JXADC[2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[2]}] = XA4_P #set_property PACKAGE_PIN N2 [get_ports {JXADC[3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[3]}] ##Sch नाम = XA1_N #set_property PACKAGE_PIN K3 [get_property IOST} LVCMOS33 [get_ports {JXADC[4]}] ##Sch नाम = XA2_N #set_property PACKAGE_PIN M3 [get_ports {JXADC[5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[5]}] ##Sch #Sch नाम = PACKAGE_PIN M1 [get_ports {JXADC[6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[6]}] ##Sch नाम = XA4_N #set_property PACKAGE_PIN N1 [get_ports {JXADC[7]}] #set_ LVCMOS33 [get_ports {JXADC[7]}]

##वीजीए कनेक्टर

#set_property PACKAGE_PIN G19 [get_ports {vgaRed[0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[0]}] #set_property PACKAGE_PIN H19 [get_ports {vgaRed[1]}] #set_property IOSTANDARD [get_ports {vgaRed[1]}] #set_property IOSTANDARD }] #set_property PACKAGE_PIN J19 [get_ports {vgaRed[2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[2]}] #set_property PACKAGE_PIN N19 [get_ports {vgaRed[3]}] #set_ LVCM IOSTANDARD 3]}] #set_property PACKAGE_PIN N18 [get_ports {vgaBlue[0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[0]}] #set_property PACKAGE_PIN L18 [get_ports {vgaBlue[1]}] #set_property IOSTANDARD vgaBlue[1]}] #set_property PACKAGE_PIN K18 [get_ports {vgaBlue[2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[2]}] #set_property PACKAGE_PIN J18 [get_ports {vgaBlue[3]}] #set_LVCM IOSTAND get_ports {vgaBlue[3]}] #set_property PACKAGE_PIN J17 [get_ports {vgaGreen[0]}] #set_property IOSTANDARD LVCMOS33 [get_p orts {vgaGreen[0]}] #set_property PACKAGE_PIN H17 [get_ports {vgaGreen[1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[1]}] #set_property PACKAGE_PIN G17 [get_ports {vgaGreen[2]}] #set_property PACKAGE_PIN G17 LVCMOS33 [get_ports {vgaGreen [2]}] #set_property PACKAGE_PIN D17 [get_ports {vgaGreen[3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[3]}] #set_property PACKAGE_PIN Hsync प्राप्त करें Hsync] #set_property PACKAGE_PIN R19 [get_ports Vsync] #set_property IOSTANDARD LVCMOS33 [get_ports Vsync]

##USB-RS232 इंटरफ़ेस

#set_property PACKAGE_PIN B18 [get_ports RsRx] #set_property IOSTANDARD LVCMOS33 [get_ports RsRx] #set_property PACKAGE_PIN A18 [get_ports RsTx] #set_property IOSTANDARD LVCMOS33 [get_ports RsTx]

## यूएसबी छिपाई (पीएस/2)

#sset_property PACKAGE_PIN C17 [get_ports PS2Clk] #set_property IOSTANDARD LVCMOS33 [get_ports PS2Clk] #set_property PULLUP true [get_ports PS2Clk] #set_property PACKAGE_PIN B17 [get_ports PS2Data] पुस्ट_सेट_सच

##क्वाड एसपीआई फ्लैश

##ध्यान दें कि CCLK_0 को 7 सीरीज के उपकरणों में नहीं रखा जा सकता है। आप इसे ##STARTUPE2 प्रिमिटिव का उपयोग करके एक्सेस कर सकते हैं। #set_property PACKAGE_PIN D18 [get_ports {QspiDB[0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[0]}] #set_property PACKAGE_PIN D19 [get_ports {QspiDB[1]}[1] #set_property IOSTANDARD [sports LVCMDB IOSTANDARD] }] #set_property PACKAGE_PIN G18 [get_ports {QspiDB[2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[2]}] #set_property PACKAGE_PIN F18 [get_ports {QspiDB[3]}] #set_LVCMDB[get_property IOSTANDARDs {QspiDB[3]}] #set_property IOSTANDARDs 3]}] #set_property PACKAGE_PIN K19 [get_ports QspiCSn] #set_property IOSTANDARD LVCMOS33 [get_ports QspiCSn]

चरण 6: पीर मोशन सेंसर को तार देना

पीर मोशन सेंसर को तार देना
पीर मोशन सेंसर को तार देना
पीर मोशन सेंसर को तार देना
पीर मोशन सेंसर को तार देना

पीर मोशन सेंसर में तीन पिन होते हैं: क्रमशः पावर, जीएनडी और अलार्म (पहली तस्वीर देखें)। इस निर्देश में सुझाया गया मोशन सेंसर सीधे ब्रेडबोर्ड से जुड़ सकता है। लेकिन हमारे द्वारा उपयोग किए जाने वाले सेंसर के लिए हमें तारों को काटना और पट्टी करना पड़ा और फिर उजागर सिरों को मिलाप करने से रोकने के लिए मिलाप करना पड़ा। ब्रेडबोर्ड पर पावर और ग्राउंड पिन के साथ श्रृंखला में पुरुष से महिला जम्पर तार डालें और फिर अलार्म पिन के साथ श्रृंखला में पुरुष से पुरुष जम्पर तार डालें (दूसरी तस्वीर देखें)।

चरण 7: ब्रेडबोर्ड में एलईडी को तार देना

ब्रेडबोर्ड में एलईडी को तार देना
ब्रेडबोर्ड में एलईडी को तार देना
ब्रेडबोर्ड में एलईडी को तार देना
ब्रेडबोर्ड में एलईडी को तार देना

एलईडी को ब्रेडबोर्ड में प्लग करें। एलईडी की छोटी सीसा के साथ श्रृंखला में एक काले पुरुष से पुरुष जम्पर केबल डालें। फिर एलईडी की लंबी लीड के साथ श्रृंखला में अलग-अलग रंग के पुरुष से पुरुष जम्पर केबल में प्लग करें।

चरण 8: बेसिस बोर्ड कनेक्शन

बेसिस बोर्ड कनेक्शन
बेसिस बोर्ड कनेक्शन

पीर मोशन सेंसर के महिला सिरों को बेसिस बोर्ड पर 5 वोल्ट वोल्टेज स्रोत से कनेक्ट करें। फिर पुरुष एलईडी ग्राउंड वायर को साइड पोर्ट के ग्राउंड में फिर पीर मोशन सेंसर से अलार्म वायर और फिर एलईडी इनपुट वायर (जैसा कि चित्र में देखा गया है) से कनेक्ट करें।

सिफारिश की: